Ez lehet Európa titkos fegyvere a csúcstechnológiáért vívott harcban

9 perc

2023.05.22. 14:45

Az európai chiphiányról szinte mindenki hallott, de kevesen tudják, hogy kizárólag Európában gyártanak több olyan fontos berendezést, amelyek a legfejlettebb chipek gyártásához szükségesek. Emellett néhány európai gyártónak megkerülhetetlen szerepe van a felhasznált vegyi anyagok előállításában is. Még sincs Európában csúcskategóriás chipgyártás, amin sürgősen változtatna az unió. Lássuk, miért és hogyan!

Az Európai Uniót hatalmas csapásként érte, hogy a világjárvány idején kialakult kereskedelmi káosz megzavarta a globális chipellátást, ami az egész feldolgozóiparban, de főként az autógyártás területén okozott leállásokat. 2021-ben egyedül Németországban a GDP 1–1,5 százaléka esett ki a félvezetők hiánya miatt, ami legalább 40 milliárd eurót jelentett.

Az Európát érő sokkok ezzel nem értek véget, ugyanis tavaly az orosz–ukrán háború kitörését követően az energiafüggőség miatt került ismét nehezebb helyzetbe a blokk.

Ilyen traumák után nem csoda, hogy a brüsszeli folyosókon világvége hangulat uralkodik, amikor a keleti-nyugati feszültségek kiéleződése kerül szóba: ha Kína lerohanja Tajvant, ahol a világ legfejlettebb (10 nanométer alatti) chipjeinek több mint 90 százalékát gyártják, a félvezető-ellátás elapad, ami világszerte leállásra kényszeríti a gyárakat.

Ennek fényében érthetőbbé válnak Ursula von der Leyen, az Európai Bizottság elnökének a német Infineon chipgyár május eleji, drezdai alapkőletételi ünnepségén elhangzott szavai: a Tajvan körüli konfliktus réme mindannyiunkat félelemmel tölt el, a növekvő feszültségek okozta esetleges kereskedelmi zavarok „azonnali és súlyos károkat okozhatnak Európa erős ipari bázisának és belső piacának is”. A válaszlépésnek – mondta – „a chipgyártás szélesebb alapokra helyezésének és saját kapacitásaink bővítésének” kell lennie. Az európai chipgyártásnak sokkal nagyobb önállóságra van szüksége.

Michael Kretschmer (CDU, l-r), Szászország miniszterelnöke, Ursula von der Leyen, az Európai Bizottság elnöke, Jochen Hanebeck, az Infineon vezérigazgatója, Olaf Scholz (SPD) német kancellár és Dirk Hilbert (FDP), Drezda főpolgármestere jelképes alapkőletételi ünnepséget tartanak az Infineon Smart Power Fab Drezdában. Az építkezéssel az Infineon a dekarbonizációt és a digitalizációt elősegítő félvezetők gyártási bázisát kívánja erősíteni.
AFP / DPA / ROBERT MICHAEL

Sok ország számára a félvezetők nemzetbiztonsági kérdésnek számítanak, mivel a gazdaság nagy része egyre inkább az általuk biztosított funkciókra támaszkodik. Ezért is nevezete Olaf Scholz német kancellár „a 21. század olajának” ugyanezen chipgyár alapkőletételén.

Európa nem akar lemaradni a chipversenyben

Az EU válaszul lazította az állami támogatási szabályokat, és több milliárd eurós támogatást mozgósított a technológiai vállalatok számára. Nem is nagyon van más választása: az Egyesült Államok pénzügyi ösztönzők széles skálájával csábítja a chipgyártókat és a tiszta energiával foglalkozó vállalatokat, és ha Európa nem lép, azt kockáztatja, hogy elveszíti a jövő technológiájáért folytatott versenyt.

Az Európai Bizottság 2022 elején egy 43 milliárd eurós beruházási tervet mutatott be, amelynek célja, hogy a világ legnagyobb chipgyártóit idecsábítsa. Érkeznek is ígéretek: az Intel, amerikai chipóriás 33 milliárd eurós kezdeti beruházást ajánlott, ebből 17 milliárd eurót egy németországi, magdeburgi megaüzemre. Az olyan európai chipgyártók, mint az STMicroelectronics és az Infineon szintén bővítik európai létesítményeiket, az utóbbi 5 milliárd eurót fordít drezdai üzemének bővítésére, ahol úgynevezett teljesítmény félvezetőket és analóg vegyes jelű áramköröket fognak gyártani, amelyeket áramellátó rendszerekben és adatközpontokban használnak majd. A Wolfspeed és a ZF tervezett 2,5 milliárd eurós üzemében szilícium-karbid chipeket gyártanak majd, amelyeket elektromos járművekben, napelemekben és ipari hidraulikarendszerekben használnak. Az EU emellett megpróbálja a TSMC-t, a világ legnagyobb szerződéses chipgyártóját is idecsábítani.

Mindenki doppingol, aki nem teszi, nem tud versenyezni:

Az európai technológiai támogatások közvetlen választ jelentenek a Biden-kormány Chips and Science Act nevű, 280 milliárd dolláros csomagjára, amely 52,7 milliárd dollár támogatást tartalmaz az amerikai hazai félvezetőgyártás fellendítésére. Emellett a tengerentúlon ott van még az Inflation Reduction Act is, amely 369 milliárd dollár támogatást és adójóváírást biztosít a tiszta energiával kapcsolatos technológiák számára.

Brüsszel azt reméli, hogy a chipipari támogatások által felpörgő beruházások révén az EU részesedése a globális félvezetőpiacon a jelenlegi kevesebb mint 10 százalékról 2030-ra 20 százalékra emelkedik.

A piaci részesedésnél azonban sokkal fontosabb, hogy az EU csökkentse az ázsiai gyártóktól, például a TSMC-től és a Samsungtól való függőségét egy olyan időszakban, amikor a kelet-nyugati feszültségek potenciális veszélyt jelenthetnek az ellátásra.

Az uniós támogatások nagysága azonban korántsem mellbevágó, már csak azért sem, mert minél fejlettebb a gyártandó chip, annál tőkeigényesebb a folyamat. A méreteket szemlélteti, hogy a TSMC tőkeköltsége 2022-ben 36 milliárd dollár volt, emellett a Financial Times szerint a vállalat például a következő években arizonai beruházásait 12 milliárd dollárról 40 milliárd dollárra növeli, ahová 2026-ra a legfejlettebb 3 nm-es technológiát is elviszi.

Egybevágnak ezzel az Everstream által összeállított adatok, melyek azt mutatják, hogy 2021–25 között az Egyesült Államokban összesen 122 milliárd dollár értékű beruházás történik új chipgyártási kapacitásokba, míg az EU-ban csak ennek a negyede, 31,5 milliárd dollár. Ebben az időszakban világszerte a chipgyártás támogatására összesen több mint 700 milliárd dollárt fordítanak, tehát az EU maga 43 milliárd eurója nem akkora dobás. Azért több a semminél, hiszen 2021–25 között épülő 81 új chipgyártó létesítményből 10 Európában, 14 az Egyesült Államokban, míg 21 Tajvanon épül – derül ki a SEMI, amerikai székhelyű félvezetőipari szervezet adataiból.

Egy technikus ellenőrzi a chip terméket egy csúcstechnológiai gyárban Huayuan Village-ben, Dongyang Cityben, a kelet-kínai Zhejiang tartományban, 2018. június 28-án.
AFP / Xinhua / Xu Yu

A támogatások mértékére pedig annak fényében is érdemes tekinteni, hogy a félvezetőpiac 2021-ben meghaladta az 500 milliárd dollárt, de a McKinsey becslései szerint 2030-ra ezermilliárd dolláros iparággá válik.

Mindezzel együtt is heves vitát vált ki, hogy a csúcstechnológiás chipgyártás európai támogatása-e a legjobb módja az adófizetői pénzek elköltésének. Sokan inkább általánosabb célokat tűznének ki: az üzleti környezet javítását és az innovációnak kedvezőbbé tételét, miközben egyszerűen csak megvásárolnák az olcsó, támogatott chipeket az Egyesült Államokból.

Mások úgy vélik, hogy bár az EU-ban már léteznek félvezető-ellátási láncok, például a belgiumi Leuvenben, a németországi Drezdában és a franciaországi Grenoble-ban, de Európának növelnie kell a chiptervezési kapacitásokat, és a csúcskategóriás chipgyártás ökoszisztémájába, valamint magába a chipgyártásba való befektetéseket. Erre szerintük azért is nagy szükség van, mert a legfejlettebb félvezetők iránti európai kereslet a becslések szerint évente 15 százalékkal fog növekedni, míg például a háztartási eszközökben is használt régebbi chiptechnológiák esetében ez az arány mindössze 3 százalék. Éppen ezért az, hogy az EU saját csúcstechnológiás chipgyárakkal rendelkezzen, befektetés Európa ellenálló képességébe és szuverenitásába.

Európa aduászai

Európa azonban nem a nulláról indul. Minden kihívás ellenére az iparági vezetők bizakodóak Európa kilátásait illetően a csúcskategóriás chipgyártás terén. Az olyan vállalatok, mint az ASML, a Zeiss és a Trumpf nem rossz kiindulópontok.

A Carl Zeiss SMT a világ legfejlettebb chipgyártó berendezéseiben használt tükrök és lencsék egyetlen gyártója. Ultraprecíz tükrei és lencséi olyan pontosak, hogy a James Webb űrteleszkópnál 200-szor nagyobb pontosságra képesek.

ASML, egy hollandiai székhelyű vállalat, amely globális monopóliummal rendelkezik az élvonalbeli chipek gyártásához szükséges extrém ultraibolya litográfiai (EUV) gépek gyártásában.

A Zeiss optikai termékei nélkül az ASML nem tudná elkészíteni EUV-gépeit, amelyek ultraibolya fényt használnak a chiptervek apró méretű szilíciumszeletre történő szkenneléséhez. Az ASML gépei nélkül pedig lehetetlen lenne a legfejlettebb chipek előállítása, amelyek a jövő technológiáihoz, például a mesterséges intelligenciához vagy a kvantum-számítástechnikához szükségesek.

Henk Kamp holland gazdasági miniszter (R) magyarázatokat hallgat az ASML-nél, a félvezetőipar számára a világ legnagyobb fotolitográfiai rendszereket gyártó vállalatánál tett látogatása során a Veldhovenben található Eindhoven Brainportban 2013. szeptember 23-án.
AFP / JOYCE VAN BELKOM

Az Európai Unió tehát a fejlett chipgyártó berendezések terén megkerülhetetlen, ez pedig fontos előnye. Az ASML EUV gépeivel a világ legnagyobb chipgyártói, például a TSMC, a Samsung és az Intel képesek egyre több és több tranzisztort pakolni egyre kisebb és kisebb chipekbe. Ma a tömeggyártásban a legkorszerűbb a 3 nanométeres chip – ez a chip egyes tranzisztorainak méretére utal –, de a technológia ezt hamarosan 2 nm-re és még az alá viszi.

Az ASML és a Zeiss mellett – amelyben az ASML-nek 25 százalékos részesedése van – a német Trumpf világelső az EUV-gépek által használt lézerek terén. A Trumpf lézerei által létrehozott plazma – amelyet az EUV fény előállításához használnak – 220 ezer Celsius-fokos hőmérséklete majdnem 40-szer forróbb, mint a Nap felszíne.

Az ilyen fejlett technológia lehetővé teszi, hogy akár 16 milliárd tranzisztort is elhelyezhetnek például egy MacBook központi feldolgozóegységében, szemben az 1970-es évekbeli 1000 tranzisztoros elektronikai eszközökkel.

Nem szabad azonban kifelejteni a sorból az IMEC-et, a Brüsszel melletti nanotechnológiai kutatóközpontot, a világ egyik úttörő kutatási központját sem, ahol a legfejlettebb chipgyártók a prototípusokat készítik. További világhírű kutatóközpontok a német Fraunhofer intézetek és a francia CEA-Leti.

Európa vegyipari termékei is megkerülhetetlenek

A chipgyártó üzemek nagymértékben függenek a vegyi anyagoktól is. Egy modern félvezető előállításához a periódusos rendszer mintegy 80 százalékára szükség van. Európa pedig komoly előnyt élvez abban is, hogy képes a fejlett chipgyártásban használt, testre szabott, összetett anyagok és vegyi anyagok előállítására. Ezeket főként néhány európai vállalat, például a Merck, a BASF és a Solvay, valamint a világon még néhány japán vállalat, például a JSR és a Shin-Etsu Chemical gyártja.

A BASF német vegyipari konszern ludwigshafeni gyára a Rajna partján 2022. augusztus 2-án. A BASF, a forgalmát tekintve a világ legnagyobb vegyipari vállalata a földgáz egyik legnagyobb németországi fogyasztója is egyben.
MTI / EPA / Ronald Wittek

„Mindenki a félvezetőgyártásról beszél, de nem fordítanak elég figyelmet a mikrochipek előállításához szükséges vegyi anyagokra” – mondja Lothar Laupichler, a BASF elektronikai anyagokért felelős vezető alelnöke. „Szinte olyan, mintha a vegyszerekre úgy tekintenének, mint a vízre vagy az elektromosságra, kinyitod a csapot, és máris jön, de ez téves felfogás.”

A BASF és a Solvay a következő években vegyszer- és anyaghiányra számít, ha az új chipkapacitások felfutnak, hacsak nem történnek célzott beruházások ezeken a területeken.

Európában a kritikus vegyipari és anyagszállítók lassabban fektetnek be, mint az Egyesült Államokban és Tajvanon. Egyes iparági szereplők szerint ennek oka, hogy az európai chiptámogatások nem fedik kellőképpen a chipgyártáson túli beruházásokat, illetve hogy az európai környezetvédelmi előírások megnehezítik a vegyi üzemek bővítését. És persze az európai gázválság felhajtotta az amúgy is magas energiaárakat, ami arra kényszerítette a blokk energiaigényes vegyiparát, hogy egyes termékek gyártását leállítsa vagy felfüggessze. Az iparági vezetők szerint az európai bővítés jelenleg nem vonzó erős ösztönzők nélkül.

Ezzel együtt is elmondható, hogy a kontinens mély vegyipari és anyagipari gyökerei mellett az olyan vállalatok, mint a Carl Zeiss SMT és az ASML, valamint ezek ellátási láncai alapvető fontosságúak lesznek Európa azon törekvésében, hogy a legmagasabb minőségű chipeknek a világ egyik legfontosabb beszállítójává váljon.

Brutális csapást mér a kínai chipgyártásra az Egyesült Államok

A kínai félvezetőiparra vonatkozó új amerikai exportellenőrzések rövid távon meghiúsítják Hszi Csin-ping kínai elnök azon törekvéseit, hogy Kína felzárkózzon a globális versenytársakhoz képest. A politikai vezetés megszilárdulása agresszívebb kínai megtorláshoz is vezethet - írja a Stratfor geopolitikai elemzőcég.